Относно цифрово-аналоговия преобразувател (ЦАП) и неговите приложения

Опитайте Нашия Инструмент За Премахване На Проблемите





Защо се нуждаем от преобразуватели на данни? В реалния свят повечето данни са на разположение под формата на аналогов характер. Имаме два вида преобразуватели аналогово-цифров преобразувател и цифрово-аналогов преобразувател. Докато манипулират данните, тези два преобразуващи интерфейса са от съществено значение за цифровото електронно оборудване и аналоговото електрическо устройство, което трябва да бъде обработено от процесор, за да осигури необходимата работа.

Например, вземете долната илюстрация на DSP, ADC преобразува аналоговите данни, събрани от аудио входно оборудване като микрофон (сензор), в цифров сигнал, който може да бъде обработен от компютър. Компютърът може да добавя звукови ефекти. Сега ЦАП ще обработи цифровия звуков сигнал обратно в аналоговия сигнал, който се използва от аудио изходно оборудване като високоговорител.




Обработка на аудио сигнал

Обработка на аудио сигнал

Цифрово-аналогов преобразувател (ЦАП)

Цифрово-аналоговият преобразувател (ЦАП) е устройство, което преобразува цифровите данни в аналогов сигнал. Съгласно теоремата за вземане на проби на Найквист-Шанън, всички извадкови данни могат да бъдат възстановени перфектно с честотна лента и критерии на Найквист.



ЦАП може да реконструира извадкови данни в аналогов сигнал с точност. Цифровите данни могат да бъдат получени от микропроцесор, специфична за приложение интегрална схема (ASIC) или Програмируем масив на порта (FPGA) , но в крайна сметка данните изискват преобразуване в аналогов сигнал, за да взаимодействат с реалния свят.

Основен цифрово-аналогов конвертор

Основен цифрово-аналогов конвертор

Архитектури на D / A конвертор

Има два метода, които обикновено се използват за цифрово в аналогово преобразуване: метод с претеглени резистори, а другият използва метода на мрежовата стълба R-2R.

ЦАП, използващ метод с претеглени резистори

Показаната по-долу схематична диаграма е ЦАП, използваща претеглени резистори. Основната операция на ЦАП е възможността за добавяне на входове, които в крайна сметка ще съответстват на приноса на различните битове на цифровия вход. В областта на напрежението, т.е. ако входните сигнали са напрежения, добавянето на двоичните битове може да се постигне с помощта на инвертиращия сумиращ усилвател показано на фигурата по-долу.


Двоично претеглени резистори ЦАП

Двоично претеглени резистори ЦАП

В областта на напрежението, т.е. ако входните сигнали са напрежения, добавянето на двоичните битове може да се постигне с помощта на инвертиращия сумиращ усилвател, показан на горната фигура.

Входните резистори на оп-усилвател имат техните стойности на съпротивление, претеглени в двоичен формат. Когато приемащият двоичен файл 1 превключвателят свързва резистора към еталонното напрежение. Когато логическата схема получи двоично 0, превключвателят свързва резистора към земята. Всички цифрови входни бита се прилагат едновременно към ЦАП.

ЦАП генерира аналогово изходно напрежение, съответстващо на дадения сигнал за цифрови данни. За ЦАП даденото цифрово напрежение е b3 b2 b1 b0, където всеки бит е двоична стойност (0 или 1). Изходното напрежение, произведено от страната на изхода е

V0 = R0 / R (b3 + b2 / 2 + b1 / 4 + b0 / 8) Vref

Тъй като броят на битовете се увеличава в цифровото входно напрежение, обхватът на стойностите на резистора става голям и съответно точността става лоша.

R-2R стълбищен цифрово-аналогов преобразувател (ЦАП)

ЦАП на стълбата R-2R, конструиран като двоично претеглен ЦАП, който използва повтаряща се каскадна структура на резисторни стойности R и 2R. Това подобрява прецизността, поради относителната лекота на производство на еднакво съвпадащи резистори (или източници на ток).

R-2R стълбищен цифрово-аналогов преобразувател (ЦАП)

R-2R стълбищен цифрово-аналогов преобразувател (ЦАП)

Горната фигура показва 4-битовия ЦАП на стълбата R-2R. За да постигнем точност на високо ниво, ние избрахме стойностите на резистора като R и 2R. Нека двоичната стойност B3 B2 B1 B0, ако b3 = 1, b2 = b1 = b0 = 0, тогава схемата е показана на фигурата по-долу, това е опростена форма на горната схема на ЦАП. Изходното напрежение е V0 = 3R (i3 / 2) = Vref / 2

По същия начин, ако b2 = 1 и b3 = b1 = b0 = 0, тогава изходното напрежение е V0 = 3R (i2 / 4) = Vref / 4 и веригата е опростена, както по-долу

Ако b1 = 1 и b2 = b3 = b0 = 0, тогава схемата, показана на фигурата по-долу, е опростена форма на горната схема на ЦАП. Изходното напрежение е V0 = 3R (i1 / 8) = Vref / 8

И накрая, схемата е показана по-долу, съответстваща на случая, когато b0 = 1 и b2 = b3 = b1 = 0. Изходното напрежение е V0 = 3R (i0 / 16) = Vref / 16

По този начин можем да открием, че когато входните данни са b3b2b1b0 (където отделните битове са или 0, или 1), тогава изходното напрежение е

Приложения на цифрово-аналогов конвертор

ЦАП се използват в много приложения за цифрова обработка на сигнали и много повече приложения. Някои от важните приложения са разгледани по-долу.

Аудио усилвател

ЦАП се използват за генериране на усилване на постояннотоково напрежение с команди на микроконтролера. Често ЦАП ще бъде включен в цял аудио кодек, който включва функции за обработка на сигнала.

Видеокодер

Системата за видеокодер ще обработва видео сигнал и ще изпраща цифрови сигнали към различни ЦАП, за да произвежда аналогови видео сигнали от различни формати, заедно с оптимизиране на изходните нива. Както при аудио кодеците, тези интегрални схеми може да имат интегрирани ЦАП.

Дисплейна електроника

Графичният контролер обикновено използва таблица за търсене, за да генерира сигнали за данни, изпратени към видео ЦАП за аналогови изходи като червени, зелени, сини (RGB) сигнали за задвижване на дисплей.

Системи за събиране на данни

Данните, които трябва да бъдат измерени, се цифровизират от аналогово-цифров преобразувател (ADC) и след това се изпращат на процесор. Събирането на данни също ще включва край за управление на процеса, в който процесорът изпраща данни за обратна връзка към ЦАП за преобразуване в аналогови сигнали.

Калибриране

ЦАП осигурява динамично калибриране за усилване и компенсиране на напрежението за точност в системите за изпитване и измерване.

Моторен контрол

Много контрол на двигателя изискват сигнали за управление на напрежението , а ЦАП е идеален за това приложение, което може да се управлява от процесор или контролер.

Приложение за управление на двигателя

Приложение за управление на двигателя

Система за разпространение на данни

Много индустриални и фабрични линии изискват множество програмируеми източници на напрежение и това може да се генерира от банка ЦАП, които са мултиплексирани. Използването на ЦАП позволява динамичната промяна на напреженията по време на работа на системата.

Цифров потенциометър

Почти всички цифрови потенциометри са базирани на низ DAC архитектура. С известна реорганизация на резистора / превключвателя и добавяне на I2C съвместим интерфейс , може да се приложи напълно цифров потенциометър.

Радио софтуер

ЦАП се използва с процесор за цифров сигнал (DSP), за да преобразува сигнал в аналогов за предаване в схемата на миксера и след това към радиото усилвател на мощност и предавател.

По този начин тази статия разглежда цифрово-аналогов преобразувател и неговите приложения. Надяваме се, че сте разбрали по-добре тази концепция. Освен това, всички въпроси относно тази концепция или за изпълнение на електрически и електронни проекти, моля, дайте вашите ценни предложения, като коментирате в раздела за коментари по-долу. Ето въпрос към вас, Как можем да преодолеем лошата точност в бинарно претегления резистор DAC?